« comunicado à imprensa »


Com o PowerVia, a Intel consegue um avanço na fabricação de chips

Ben Sell, da Intel, explica como a empresa desenvolveu e comprovou a primeira potência traseira do mundo solução, dando um grande passo à frente na fabricação de chips.

O que há de novo: a Intel é a primeira na indústria a implementar o fornecimento de energia traseiro em um chip de teste semelhante a um produto, alcançando o desempenho necessário para impulsionar o mundo para a próxima era da computação. O PowerVia, que será introduzido no nó de processo Intel 20A no primeiro semestre de 2024, é a solução de fornecimento de energia traseira líder do setor da Intel. Ele resolve o problema crescente de gargalos de interconexão no dimensionamento de área, movendo o roteamento de energia para a parte traseira de um wafer.

“O PowerVia é um marco importante em nossa estratégia agressiva de’cinco nós em quatro anos’e em nosso caminho para atingir um trilhão de transistores em um pacote em 2030. O uso de um nó de processo de teste e o chip de teste subsequente nos permitiu diminuir o risco de energia traseira para nossos principais nós de processo, colocando a Intel um nó à frente dos concorrentes em trazer fornecimento de energia traseira para mercado.”

–Ben Sell, vice-presidente de desenvolvimento de tecnologia da Intel

Como funciona: Intel desacoplou o desenvolvimento do PowerVia do desenvolvimento do transistor para garantir sua prontidão para implementação de silício com base nos nós de processo Intel 20A e Intel 18A. O PowerVia foi testado em seu próprio nó de teste interno para depurar e garantir a boa funcionalidade da tecnologia antes de sua integração com RibbonFET em Intel 20A. Após a fabricação e teste em um chip de teste de silício, foi confirmado que o PowerVia traz um uso notavelmente eficiente dos recursos do chip com mais de 90% de utilização de células e grande dimensionamento de transistor, permitindo que os projetistas de chips obtenham ganhos de desempenho e eficiência em seus produtos.

A Intel apresentará essas descobertas em dois artigos no VLSI Symposium de 11 a 16 de junho em Kyoto, Japão.

Por que é importante: A PowerVia está bem à frente das soluções de energia de backside dos concorrentes, oferecendo aos projetistas de chips-incluindo os clientes do Intel Foundry Services (IFS)-um caminho mais rápido para ganhos valiosos de energia e desempenho em seus produtos. A Intel tem um longo histórico de introdução das novas tecnologias mais críticas do setor, como silício tenso, portão de metal Hi-K e FinFET, para impulsionar a Lei de Moore. Com a tecnologia PowerVia e RibbonFET completa chegando em 2024, a Intel continua liderando o setor em design de chips e inovações de processo.

A PowerVia é a primeira a resolver o crescente problema de gargalo de interconexão para projetistas de chips. Casos de uso crescentes, incluindo inteligência artificial e gráficos, exigem transistores menores, mais densos e mais poderosos para atender às crescentes demandas de computação. Hoje e nas últimas décadas, as linhas de energia e sinal dentro da arquitetura de um transistor competiram pelos mesmos recursos. Ao separar os dois, os chips podem aumentar o desempenho e a eficiência energética e oferecer melhores resultados aos clientes. O fornecimento de energia traseira é vital para o dimensionamento do transistor, permitindo que os projetistas de chips aumentem a densidade do transistor sem sacrificar recursos para fornecer mais potência e desempenho do que nunca.

Como estamos fazendo isso: Intel 20A e o Intel 18A apresentará a tecnologia de energia traseira PowerVia e a tecnologia de gate-all-around RibbonFET. Como uma maneira completamente nova de fornecer energia aos transistores, a implementação de energia traseira levantou novos desafios para projetos térmicos e de depuração.

Ao desacoplar o desenvolvimento do PowerVia do RibbonFET, a Intel conseguiu superar esses desafios rapidamente para garantir a prontidão para implementação em silício baseada nos nós de processo 20A e 18A da Intel. Os engenheiros da Intel desenvolveram técnicas de mitigação para evitar que as térmicas se tornem um problema. A comunidade de depuração também desenvolveu novas técnicas para garantir que a nova estrutura de design pudesse ser depurada adequadamente. Como resultado, a implementação do teste forneceu métricas sólidas de rendimento e confiabilidade, ao mesmo tempo em que demonstrou a proposição de valor intrínseco da tecnologia bem antes de se juntar à nova arquitetura RibbonFET.

O teste também aproveitou as regras de design possibilitado pela litografia EUV (ultravioleta extremo), que produziu resultados incluindo a utilização de células padrão de mais de 90% em grandes áreas da matriz, permitindo maior densidade de células, o que pode reduzir os custos. O teste também mostrou mais de 30% de melhoria na queda de tensão da plataforma e 6% de benefício na frequência. A Intel também alcançou características térmicas no chip de teste PowerVia de acordo com densidades de energia mais altas esperadas da escala lógica.

O que vem a seguir: Em um terceiro artigo a ser apresentado durante o VLSI, o tecnólogo da Intel Mauro Kobrinsky explicará a pesquisa da Intel sobre métodos mais avançados para implantar o PowerVia, como habilitar a sinalização e o fornecimento de energia na parte frontal ou traseira do wafer.

Trazendo o PowerVia para clientes à frente da indústria e continuar inovando para o futuro está de acordo com a longa história da Intel de ser a primeira a trazer novas inovações de semicondutores para o mercado enquanto inova constantemente.

Fonte: Intel, ComputerBase


« fim do comunicado de imprensa »

Categories: IT Info