« thông cáo báo chí »


Với PowerVia, Intel đạt được bước đột phá trong sản xuất chip

Ben Sell của Intel giải thích cách công ty phát triển và chứng minh sức mạnh hỗ trợ đầu tiên trên thế giới giải pháp, tạo ra một bước tiến quan trọng trong lĩnh vực sản xuất chip.

Tính năng mới: Intel là công ty đầu tiên trong ngành triển khai phân phối điện từ mặt sau trên một con chip thử nghiệm giống như sản phẩm, đạt được hiệu suất cần thiết để đưa thế giới vào kỷ nguyên điện toán tiếp theo. PowerVia, sẽ được giới thiệu trên nút xử lý Intel 20A vào nửa đầu năm 2024, là giải pháp cung cấp năng lượng mặt sau hàng đầu trong ngành của Intel. Nó giải quyết vấn đề tắc nghẽn kết nối ngày càng tăng trong quy mô khu vực bằng cách di chuyển định tuyến nguồn sang mặt sau của tấm wafer.

“PowerVia là một cột mốc quan trọng trong chiến lược tích cực’năm nút trong bốn năm’của chúng tôi và trên con đường của chúng tôi để đạt được một nghìn tỷ bóng bán dẫn trong một gói vào năm 2030. Việc sử dụng nút quy trình dùng thử và chip thử nghiệm tiếp theo cho phép chúng tôi loại bỏ rủi ro năng lượng mặt sau cho các nút quy trình hàng đầu của mình, giúp Intel vượt lên dẫn trước các đối thủ cạnh tranh trong việc cung cấp năng lượng mặt sau cho thị trường.”

–Ben Sell, phó chủ tịch phát triển công nghệ của Intel

Cách thức hoạt động: Intel tách rời quá trình phát triển PowerVia khỏi quá trình phát triển bóng bán dẫn để đảm bảo tính sẵn sàng triển khai silicon dựa trên các nút xử lý Intel 20A và Intel 18A. PowerVia đã được thử nghiệm trên nút thử nghiệm nội bộ của riêng mình để gỡ lỗi và đảm bảo chức năng tốt của công nghệ trước khi tích hợp với RibbonFET trong Intel 20A. Sau khi chế tạo và thử nghiệm trên chip thử nghiệm silicon, PowerVia đã được xác nhận là mang lại khả năng sử dụng tài nguyên chip hiệu quả đáng kể với mức sử dụng tế bào lớn hơn 90% và mở rộng quy mô bóng bán dẫn lớn, cho phép các nhà thiết kế chip đạt được hiệu suất và hiệu quả trong sản phẩm của họ.

Intel sẽ trình bày những phát hiện này trong hai bài báo tại Hội nghị chuyên đề VLSI vào ngày 11-16 tháng 6 tại Kyoto, Nhật Bản.

Tại sao nó lại quan trọng: PowerVia vượt xa các giải pháp năng lượng mặt sau của đối thủ cạnh tranh, mang lại cho các nhà thiết kế chip – bao gồm cả các khách hàng của Intel Foundry Services (IFS) – một lộ trình nhanh hơn để đạt được hiệu suất và năng lượng có giá trị trong các sản phẩm của họ. Intel có bề dày thành tích trong việc giới thiệu các công nghệ mới quan trọng nhất của ngành, chẳng hạn như silicon dẻo, cổng kim loại Hi-K và FinFET, để thúc đẩy Định luật Moore tiến lên. Với công nghệ cổng toàn bộ PowerVia và RibbonFET sẽ ra mắt vào năm 2024, Intel tiếp tục dẫn đầu ngành về đổi mới quy trình và thiết kế chip.

PowerVia là công ty đầu tiên giải quyết vấn đề tắc nghẽn kết nối ngày càng tăng đối với các nhà thiết kế chip. Các trường hợp sử dụng đang gia tăng, bao gồm trí tuệ nhân tạo và đồ họa, yêu cầu các bóng bán dẫn nhỏ hơn, dày đặc hơn và mạnh hơn để đáp ứng nhu cầu điện toán ngày càng tăng. Ngày nay và trong nhiều thập kỷ qua, các đường dây nguồn và tín hiệu trong cấu trúc của bóng bán dẫn đã cạnh tranh để giành được cùng một nguồn tài nguyên. Bằng cách tách biệt hai yếu tố này, chip có thể tăng hiệu suất và hiệu quả sử dụng năng lượng, đồng thời mang lại kết quả tốt hơn cho khách hàng. Việc cung cấp năng lượng ở mặt sau rất quan trọng đối với việc mở rộng quy mô bóng bán dẫn, cho phép các nhà thiết kế chip tăng mật độ bóng bán dẫn mà không phải hy sinh tài nguyên để cung cấp nhiều năng lượng và hiệu suất hơn bao giờ hết.

Cách chúng tôi đang thực hiện: Intel 20A và Intel 18A sẽ giới thiệu cả công nghệ năng lượng mặt sau PowerVia và công nghệ toàn cổng RibbonFET. Là một cách hoàn toàn mới để cung cấp năng lượng cho các bóng bán dẫn, việc triển khai năng lượng mặt sau đặt ra những thách thức mới đối với thiết kế gỡ lỗi và nhiệt.

Bằng cách tách riêng quá trình phát triển PowerVia khỏi RibbonFET, Intel có thể nhanh chóng vượt qua những thách thức đó để đảm bảo sẵn sàng cho triển khai bằng silicon dựa trên các nút quy trình 20A và 18A của Intel. Các kỹ sư của Intel đã phát triển các kỹ thuật giảm thiểu để ngăn nhiệt trở thành vấn đề. Cộng đồng gỡ lỗi cũng đã phát triển các kỹ thuật mới để đảm bảo cấu trúc thiết kế mới có thể được gỡ lỗi một cách thích hợp. Kết quả là, quá trình triển khai thử nghiệm đã mang lại các chỉ số về năng suất và độ tin cậy vững chắc đồng thời thể hiện đề xuất giá trị nội tại của công nghệ trước khi nó tham gia vào kiến ​​trúc RibbonFET mới.

Thử nghiệm cũng tận dụng các quy tắc thiết kế được kích hoạt bởi kỹ thuật in khắc EUV (cực tím), tạo ra kết quả bao gồm việc sử dụng tế bào tiêu chuẩn hơn 90% trên các khu vực rộng lớn của khuôn, cho phép mật độ tế bào lớn hơn, có thể giúp giảm chi phí. Thử nghiệm cũng cho thấy cải thiện hơn 30% điện áp nền và lợi ích tần số 6%. Intel cũng đạt được các đặc tính nhiệt trong chip thử nghiệm PowerVia phù hợp với mật độ năng lượng cao hơn dự kiến ​​từ khả năng thay đổi quy mô logic.

Điều gì tiếp theo: Trong bài báo thứ ba sẽ được trình bày trong VLSI, kỹ thuật viên công nghệ của Intel Mauro Kobrinsky sẽ giải thích nghiên cứu của Intel về các phương pháp tiên tiến hơn để triển khai PowerVia, chẳng hạn như cho phép cả tín hiệu và phân phối điện ở mặt trước hoặc mặt sau của wafer.

Đưa PowerVia đến khách hàng đi đầu trong ngành và tiếp tục đổi mới hướng tới tương lai phù hợp với lịch sử lâu đời của Intel là người đầu tiên đưa các cải tiến chất bán dẫn mới ra thị trường trong khi không ngừng đổi mới.

Nguồn: Intel, ComputerBase


« kết thúc thông cáo báo chí »

Categories: IT Info